Xilinx UG129 Answering Machine User Manual


 
PicoBlaze 8-bit Embedded Microcontroller www.xilinx.com 87
UG129 (v1.1.2) June 24, 2008
R
Appendix A
Related Materials and References
This appendix provides links to additional information relevant to a PicoBlaze™ processor
design.
1. PicoBlaze 8-bit Embedded Microcontroller
Download PicoBlaze reference designs and additional files.
http://www.xilinx.com/ipcenter/processor_central/picoblaze
2. Mediatronix pBlazIDE Integrated Development Environment for PicoBlaze
http://www.mediatronix.com/pBlazeIDE.htm
3. Xilinx System Generator User Guide: “Designing PicoBlaze Microcontroller
Applications”
http://www.xilinx.com/support/sw_manuals/sysgen_ug.pdf
4. MicroBlaze 32-bit Soft Processor Core
http://www.xilinx.com/microblaze
5. UG331: Spartan-3 Generation FPGA User Guide: Chapter 8, “Using Dedicated
Multiplexers”
http://www.xilinx.com/support/documentation/user_guides/ug331.pdf
6. XST User Guide: Chapter 9, “Mixed Language Support”
http://toolbox.xilinx.com/docsan/xilinx10/books/docs/xst/xst.pdf